nextpnr-ice40-qt - 0.4-1+b1 main

nextpnr is a FPGA place and route tool. Its purpose is to turn a
topological description of digital hardware produced by an FPGA logic
synthesis tool such as yosys into an elaborate map of connections between
the hardwired functional units available inside the FPGA's fabric.
.
In order to verify the fully implemented design for proper operation at
speed timing-analysis of the design is also supported.
.
nextpnr-ice40 supports the Lattice iCE40 series of FPGAs and uses the
hardware description chipdb from the fpga-icestorm package.
.
This package supports both the GUI and command-line interfaces. A slimmer
command-line only version is available in the nextpnr-ice40 package.

Priority: optional
Section: electronics
Suites: byzantium crimson dawn landing 
Maintainer: Debian Electronics Team <pkg-electronics-devel [꩜] lists.alioth.debian.org>
 
Homepage Source Package
 

Dependencies

Installed Size: 234.4 MB
Architectures: amd64  arm64 

 

Versions

0.4-1+b1 arm64 0.4-1+b1 amd64