mighttpd2 - 4.0.3-2 main

Mighttpd2 (pronounced as "mighty") is a simple but practical HTTP server
written in Haskell. It handles static files and CGI scripts. It also
provides reverse proxy functionality.
.
Mighttpd2 is now implemented as a WAI application using the high-performance
HTTP engine, "warp". To httperf Ping-Pong benchmark, Mighttpd2 is faster than
nginx.

Priority: optional
Section: haskell
Suites: amber byzantium crimson dawn landing 
Maintainer: Debian Haskell Group <pkg-haskell-maintainers [꩜] lists.alioth.debian.org>
 
Homepage Source Package
 

Dependencies

Installed Size: 75.6 MB
Architectures: arm64  amd64 

 

Versions

4.0.3-2 arm64 4.0.3-2 amd64